SPEC CPU®2017 Floating Point Rate Result

Copyright 2017-2023 Standard Performance Evaluation Corporation

Nettrix

R620 G50 LP (Intel Xeon Platinum 8490H, 1.90 GHz)

SPECrate®2017_fp_base = 96000

SPECrate®2017_fp_peak = 102000

CPU2017 License: 6138 Test Date: Dec-2022
Test Sponsor: Nettrix Hardware Availability: Jan-2023
Tested by: Nettrix Software Availability: Nov-2022

Benchmark result graphs are available in the PDF report.

Hardware
CPU Name: Intel Xeon Platinum 8490H
  Max MHz: 3500
  Nominal: 1900
Enabled: 120 cores, 2 chips, 2 threads/core
Orderable: 1,2 chips
Cache L1: 32 KB I + 48 KB D on chip per core
  L2: 2 MB I+D on chip per core
  L3: 112.5 MB I+D on chip per chip
  Other: None
Memory: 1 TB (16 x 64 GB 2Rx4 PC5-4800B-R)
Storage: 1 x 960 GB NVME SSD
Other: None
Software
OS: SUSE Linux Enterprise Server 15 SP3
5.3.18-150300.59.101-default
Compiler: C/C++: Version 2022.1 of Intel oneAPI DPC++/C++
Compiler Build 20220316 for Linux;
Fortran: Version 2022.1 of Intel Fortran Compiler
Build 20220316 for Linux;
Parallel: No
Firmware: Nettrix BIOS Version NNH1041018-U00-1 released
Nov-2022
File System: xfs
System State: Run level 3 (multi-user)
Base Pointers: 64-bit
Peak Pointers: 64-bit
Other: jemalloc memory allocator V5.0.1
Power Management: BIOS and OS set to prefer performance at the cost
of additional power usage

Results Table

Benchmark Base Peak
Copies Seconds Ratio Seconds Ratio Seconds Ratio Copies Seconds Ratio Seconds Ratio Seconds Ratio
SPECrate®2017_fp_base 96000
SPECrate®2017_fp_peak 102000
Results appear in the order in which they were run. Bold underlined text indicates a median measurement.
503.bwaves_r 240 565 4260 564 4270 564 4270 240 565 4260 564 4270 564 4270
507.cactuBSSN_r 240 282 1080 282 1080 282 1080 120 137 1110 137 1110 137 1110
508.namd_r 240 288 792 284 802 288 792 240 288 792 284 802 288 792
510.parest_r 240 1604 392 1605 391 1599 393 120 499 629 500 627 501 627
511.povray_r 240 458 1220 459 1220 456 1230 240 461 1220 462 1210 461 1220
519.lbm_r 240 643 393 643 393 643 394 240 643 393 643 393 643 394
521.wrf_r 240 903 595 903 596 903 595 120 396 679 403 667 399 674
526.blender_r 240 327 1120 328 1120 327 1120 240 327 1120 328 1120 327 1120
527.cam4_r 240 365 1150 366 1150 368 1140 120 202 1040 200 1050 201 1040
538.imagick_r 240 184 3240 184 3240 183 3270 240 184 3240 184 3240 183 3270
544.nab_r 240 201 2010 203 1990 202 2000 240 177 2280 177 2290 177 2280
549.fotonik3d_r 240 1659 564 1659 564 1659 564 240 1659 564 1659 564 1659 564
554.roms_r 240 1240 308 1243 307 1239 308 120 560 340 560 341 560 341

Submit Notes

 The numactl mechanism was used to bind copies to processors. The config file option 'submit'
 was used to generate numactl commands to bind each copy to a specific processor.
 For details, please see the config file.

Operating System Notes

 Stack size set to unlimited using "ulimit -s unlimited"

Environment Variables Notes

Environment variables set by runcpu before the start of the run:
LD_LIBRARY_PATH = "/home/lijq/lib/intel64:/home/lijq/je5.0.1-64"
MALLOC_CONF = "retain:true"

General Notes

 Binaries compiled on a system with 2x Intel Xeon Platinum 8280M CPU + 384GB RAM
 memory using Red Hat Enterprise Linux 8.4
 NA : The test sponsor attests, as of date of publication, that CVE-2017-5754 (Meltdown)
   is mitigated in the system as tested and documented.
 Yes: The test sponsor attests, as of date of publication, that CVE-2017-5753 (Spectre variant 1)
   is mitigated in the system as tested and documented.
 Yes: The test sponsor attests, as of date of publication, that CVE-2017-5715 (Spectre variant 2)
   is mitigated in the system as tested and documented.
 Transparent Huge Pages enabled by default
 Prior to runcpu invocation
 Filesystem page cache synced and cleared with:
 sync; echo 3>       /proc/sys/vm/drop_caches
 runcpu command invoked through numactl i.e.:
 numactl --interleave=all runcpu <etc>
 jemalloc, a general purpose malloc implementation
 built with the RedHat Enterprise 7.5, and the system compiler gcc 4.8.5
 sources available from jemalloc.net or https://github.com/jemalloc/jemalloc/releases

Platform Notes

 BIOS Configuration:
   Enable LP [Global] set to ALL LPs
   LLC Prefetch set to Disabled
   SNC (Sub NUMA) set to Enable SNC4 (4-clusters)
   Patrol Scrub set to Disabled
   LLC dead line alloc set to Disabled
   XPT Prefetch set to Enabled
   KTI Prefetch set to Disabled
   DCU Streamer Prefetcher set to Disabled
   Hardware P-States set to Native Mode

 Sysinfo program /home/lijq/bin/sysinfo
 Rev: r6622 of 2021-04-07 982a61ec0915b55891ef0e16acafc64d
 running on localhost Sun Dec 18 15:14:12 2022

 SUT (System Under Test) info as seen by some common utilities.
 For more information on this section, see
    https://www.spec.org/cpu2017/Docs/config.html#sysinfo

 From /proc/cpuinfo
    model name : Intel(R) Xeon(R) Platinum 8490H
       2  "physical id"s (chips)
       240 "processors"
    cores, siblings (Caution: counting these is hw and system dependent. The following
    excerpts from /proc/cpuinfo might not be reliable.  Use with caution.)
       cpu cores : 60
       siblings  : 120
       physical 0: cores 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24
       25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52
       53 54 55 56 57 58 59
       physical 1: cores 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24
       25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52
       53 54 55 56 57 58 59

 From lscpu from util-linux 2.36.2:
      Architecture:                    x86_64
      CPU op-mode(s):                  32-bit, 64-bit
      Byte Order:                      Little Endian
      Address sizes:                   52 bits physical, 57 bits virtual
      CPU(s):                          240
      On-line CPU(s) list:             0-239
      Thread(s) per core:              2
      Core(s) per socket:              60
      Socket(s):                       2
      NUMA node(s):                    8
      Vendor ID:                       GenuineIntel
      CPU family:                      6
      Model:                           143
      Model name:                      Intel(R) Xeon(R) Platinum 8490H
      Stepping:                        8
      CPU MHz:                         2900.078
      CPU max MHz:                     3500.0000
      CPU min MHz:                     800.0000
      BogoMIPS:                        3800.00
      Virtualization:                  VT-x
      L1d cache:                       5.6 MiB
      L1i cache:                       3.8 MiB
      L2 cache:                        240 MiB
      L3 cache:                        225 MiB
      NUMA node0 CPU(s):               0-14,120-134
      NUMA node1 CPU(s):               15-29,135-149
      NUMA node2 CPU(s):               30-44,150-164
      NUMA node3 CPU(s):               45-59,165-179
      NUMA node4 CPU(s):               60-74,180-194
      NUMA node5 CPU(s):               75-89,195-209
      NUMA node6 CPU(s):               90-104,210-224
      NUMA node7 CPU(s):               105-119,225-239
      Vulnerability Itlb multihit:     Not affected
      Vulnerability L1tf:              Not affected
      Vulnerability Mds:               Not affected
      Vulnerability Meltdown:          Not affected
      Vulnerability Mmio stale data:   Not affected
      Vulnerability Retbleed:          Not affected
      Vulnerability Spec store bypass: Mitigation; Speculative Store Bypass disabled via
      prctl and seccomp
      Vulnerability Spectre v1:        Mitigation; usercopy/swapgs barriers and __user
      pointer sanitization
      Vulnerability Spectre v2:        Mitigation; Enhanced IBRS, IBPB conditional, RSB
      filling, PBRSB-eIBRS SW sequence
      Vulnerability Srbds:             Not affected
      Vulnerability Tsx async abort:   Not affected
      Flags:                           fpu vme de pse tsc msr pae mce cx8 apic sep mtrr
      pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx
      pdpe1gb rdtscp lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology
      nonstop_tsc cpuid aperfmperf tsc_known_freq pni pclmulqdq dtes64 ds_cpl vmx smx est
      tm2 ssse3 sdbg fma cx16 xtpr pdcm pcid dca sse4_1 sse4_2 x2apic movbe popcnt
      tsc_deadline_timer aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault
      epb cat_l3 cat_l2 cdp_l3 invpcid_single cdp_l2 ssbd mba ibrs ibpb stibp
      ibrs_enhanced tpr_shadow vnmi flexpriority ept vpid ept_ad fsgsbase tsc_adjust bmi1
      hle avx2 smep bmi2 erms invpcid rtm cqm rdt_a avx512f avx512dq rdseed adx smap
      avx512ifma clflushopt clwb intel_pt avx512cd sha_ni avx512bw avx512vl xsaveopt
      xsavec xgetbv1 xsaves cqm_llc cqm_occup_llc cqm_mbm_total cqm_mbm_local
      split_lock_detect avx512_bf16 wbnoinvd dtherm ida arat pln pts hwp hwp_act_window
      hwp_epp hwp_pkg_req avx512vbmi umip pku ospke waitpkg avx512_vbmi2 gfni vaes
      vpclmulqdq avx512_vnni avx512_bitalg tme avx512_vpopcntdq la57 rdpid cldemote
      movdiri movdir64b enqcmd fsrm md_clear serialize tsxldtrk pconfig avx512_fp16
      flush_l1d arch_capabilities

 From lscpu --cache:
      NAME ONE-SIZE ALL-SIZE WAYS TYPE        LEVEL   SETS PHY-LINE COHERENCY-SIZE
      L1d       48K     5.6M   12 Data            1     64        1             64
      L1i       32K     3.8M    8 Instruction     1     64        1             64
      L2         2M     240M   16 Unified         2   2048        1             64
      L3     112.5M     225M   15 Unified         3 122880        1             64

 /proc/cpuinfo cache data
    cache size : 115200 KB

 From numactl --hardware
 WARNING: a numactl 'node' might or might not correspond to a physical chip.
   available: 8 nodes (0-7)
   node 0 cpus: 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 120 121 122 123 124 125 126 127 128 129
   130 131 132 133 134
   node 0 size: 128537 MB
   node 0 free: 128066 MB
   node 1 cpus: 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 135 136 137 138 139 140 141
   142 143 144 145 146 147 148 149
   node 1 size: 129016 MB
   node 1 free: 128787 MB
   node 2 cpus: 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 150 151 152 153 154 155 156
   157 158 159 160 161 162 163 164
   node 2 size: 129016 MB
   node 2 free: 128664 MB
   node 3 cpus: 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 165 166 167 168 169 170 171
   172 173 174 175 176 177 178 179
   node 3 size: 128979 MB
   node 3 free: 128738 MB
   node 4 cpus: 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 180 181 182 183 184 185 186
   187 188 189 190 191 192 193 194
   node 4 size: 129016 MB
   node 4 free: 128772 MB
   node 5 cpus: 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 195 196 197 198 199 200 201
   202 203 204 205 206 207 208 209
   node 5 size: 129016 MB
   node 5 free: 128716 MB
   node 6 cpus: 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 210 211 212 213 214 215
   216 217 218 219 220 221 222 223 224
   node 6 size: 129016 MB
   node 6 free: 128718 MB
   node 7 cpus: 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 225 226 227
   228 229 230 231 232 233 234 235 236 237 238 239
   node 7 size: 128754 MB
   node 7 free: 128473 MB
   node distances:
   node   0   1   2   3   4   5   6   7
     0:  10  12  12  12  21  21  21  21
     1:  12  10  12  12  21  21  21  21
     2:  12  12  10  12  21  21  21  21
     3:  12  12  12  10  21  21  21  21
     4:  21  21  21  21  10  12  12  12
     5:  21  21  21  21  12  10  12  12
     6:  21  21  21  21  12  12  10  12
     7:  21  21  21  21  12  12  12  10

 From /proc/meminfo
    MemTotal:       1056108440 kB
    HugePages_Total:       0
    Hugepagesize:       2048 kB

 /sys/devices/system/cpu/cpu*/cpufreq/scaling_governor has
    performance

 From /etc/*release* /etc/*version*
    os-release:
       NAME="SLES"
       VERSION="15-SP3"
       VERSION_ID="15.3"
       PRETTY_NAME="SUSE Linux Enterprise Server 15 SP3"
       ID="sles"
       ID_LIKE="suse"
       ANSI_COLOR="0;32"
       CPE_NAME="cpe:/o:suse:sles:15:sp3"

 uname -a:
    Linux localhost 5.3.18-150300.59.101-default #1 SMP Tue Nov 1 11:32:03 UTC 2022
    (b2a976e) x86_64 x86_64 x86_64 GNU/Linux

 Kernel self-reported vulnerability status:

 CVE-2018-12207 (iTLB Multihit):                        Not affected
 CVE-2018-3620 (L1 Terminal Fault):                     Not affected
 Microarchitectural Data Sampling:                      Not affected
 CVE-2017-5754 (Meltdown):                              Not affected
 mmio_stale_data:                                       Not affected
 retbleed:                                              Not affected
 CVE-2018-3639 (Speculative Store Bypass):              Mitigation: Speculative Store
                                                        Bypass disabled via prctl and
                                                        seccomp
 CVE-2017-5753 (Spectre variant 1):                     Mitigation: usercopy/swapgs
                                                        barriers and __user pointer
                                                        sanitization
 CVE-2017-5715 (Spectre variant 2):                     Mitigation: Enhanced IBRS, IBPB:
                                                        conditional, RSB filling,
                                                        PBRSB-eIBRS: SW sequence
 CVE-2020-0543 (Special Register Buffer Data Sampling): Not affected
 CVE-2019-11135 (TSX Asynchronous Abort):               Not affected

 run-level 3 Dec 18 14:47

 SPEC is set to: /home/lijq
    Filesystem     Type  Size  Used Avail Use% Mounted on
    /dev/nvme0n1p3 xfs   854G  129G  726G  16% /home

 From /sys/devices/virtual/dmi/id
     Vendor:         Nettrix
     Product:        R620 G50 LP
     Product Family: Rack
     Serial:         6101823603509646

 Additional information from dmidecode 3.2 follows.  WARNING: Use caution when you
 interpret this section. The 'dmidecode' program reads system data which is "intended to
 allow hardware to be accurately determined", but the intent may not be met, as there are
 frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard.
   Memory:
     16x Samsung M321R8GA0BB0-CQKEG 64 GB 2 rank 4800

 BIOS:
    BIOS Vendor:       American Megatrends International, LLC.
    BIOS Version:      NNH1041018-U00-1
    BIOS Date:         11/01/2022
    BIOS Revision:     5.29

 (End of data from sysinfo program)

Compiler Version Notes

==============================================================================
C               | 519.lbm_r(base, peak) 538.imagick_r(base, peak)
                | 544.nab_r(base, peak)
------------------------------------------------------------------------------
Intel(R) oneAPI DPC++/C++ Compiler for applications running on Intel(R) 64,
  Version 2022.1.0 Build 20220316
Copyright (C) 1985-2022 Intel Corporation. All rights reserved.
------------------------------------------------------------------------------

==============================================================================
C++             | 508.namd_r(base, peak) 510.parest_r(base, peak)
------------------------------------------------------------------------------
Intel(R) oneAPI DPC++/C++ Compiler for applications running on Intel(R) 64,
  Version 2022.1.0 Build 20220316
Copyright (C) 1985-2022 Intel Corporation. All rights reserved.
------------------------------------------------------------------------------

==============================================================================
C++, C          | 511.povray_r(base, peak) 526.blender_r(base, peak)
------------------------------------------------------------------------------
Intel(R) oneAPI DPC++/C++ Compiler for applications running on Intel(R) 64,
  Version 2022.1.0 Build 20220316
Copyright (C) 1985-2022 Intel Corporation. All rights reserved.
Intel(R) oneAPI DPC++/C++ Compiler for applications running on Intel(R) 64,
  Version 2022.1.0 Build 20220316
Copyright (C) 1985-2022 Intel Corporation. All rights reserved.
------------------------------------------------------------------------------

==============================================================================
C++, C, Fortran | 507.cactuBSSN_r(base, peak)
------------------------------------------------------------------------------
Intel(R) oneAPI DPC++/C++ Compiler for applications running on Intel(R) 64,
  Version 2022.1.0 Build 20220316
Copyright (C) 1985-2022 Intel Corporation. All rights reserved.
Intel(R) oneAPI DPC++/C++ Compiler for applications running on Intel(R) 64,
  Version 2022.1.0 Build 20220316
Copyright (C) 1985-2022 Intel Corporation. All rights reserved.
Intel(R) Fortran Compiler for applications running on Intel(R) 64, Version
  2022.1.0 Build 20220316
Copyright (C) 1985-2022 Intel Corporation. All rights reserved.
------------------------------------------------------------------------------

==============================================================================
Fortran         | 503.bwaves_r(base, peak) 549.fotonik3d_r(base, peak)
                | 554.roms_r(base, peak)
------------------------------------------------------------------------------
Intel(R) Fortran Compiler for applications running on Intel(R) 64, Version
  2022.1.0 Build 20220316
Copyright (C) 1985-2022 Intel Corporation. All rights reserved.
------------------------------------------------------------------------------

==============================================================================
Fortran, C      | 521.wrf_r(base, peak) 527.cam4_r(base, peak)
------------------------------------------------------------------------------
Intel(R) Fortran Compiler for applications running on Intel(R) 64, Version
  2022.1.0 Build 20220316
Copyright (C) 1985-2022 Intel Corporation. All rights reserved.
Intel(R) oneAPI DPC++/C++ Compiler for applications running on Intel(R) 64,
  Version 2022.1.0 Build 20220316
Copyright (C) 1985-2022 Intel Corporation. All rights reserved.
------------------------------------------------------------------------------

Base Compiler Invocation

C benchmarks:

 icx 

C++ benchmarks:

 icpx 

Fortran benchmarks:

 ifx 

Benchmarks using both Fortran and C:

 ifx   icx 

Benchmarks using both C and C++:

 icpx   icx 

Benchmarks using Fortran, C, and C++:

 icpx   icx   ifx 

Base Portability Flags

503.bwaves_r:  -DSPEC_LP64 
507.cactuBSSN_r:  -DSPEC_LP64 
508.namd_r:  -DSPEC_LP64 
510.parest_r:  -DSPEC_LP64 
511.povray_r:  -DSPEC_LP64 
519.lbm_r:  -DSPEC_LP64 
521.wrf_r:  -DSPEC_LP64   -DSPEC_CASE_FLAG   -convert big_endian 
526.blender_r:  -DSPEC_LP64   -DSPEC_LINUX   -funsigned-char 
527.cam4_r:  -DSPEC_LP64   -DSPEC_CASE_FLAG 
538.imagick_r:  -DSPEC_LP64 
544.nab_r:  -DSPEC_LP64 
549.fotonik3d_r:  -DSPEC_LP64 
554.roms_r:  -DSPEC_LP64 

Base Optimization Flags

C benchmarks:

 -w   -std=c11   -m64   -Wl,-z,muldefs   -xCORE-AVX512   -Ofast   -ffast-math   -flto   -mfpmath=sse   -funroll-loops   -qopt-mem-layout-trans=4   -ljemalloc   -L/usr/local/jemalloc64-5.0.1/lib 

C++ benchmarks:

 -w   -m64   -Wl,-z,muldefs   -xCORE-AVX512   -Ofast   -ffast-math   -flto   -mfpmath=sse   -funroll-loops   -qopt-mem-layout-trans=4   -ljemalloc   -L/usr/local/jemalloc64-5.0.1/lib 

Fortran benchmarks:

 -w   -m64   -Wl,-z,muldefs   -xCORE-AVX512   -Ofast   -ffast-math   -flto   -mfpmath=sse   -funroll-loops   -qopt-mem-layout-trans=4   -nostandard-realloc-lhs   -align array32byte   -auto   -ljemalloc   -L/usr/local/jemalloc64-5.0.1/lib 

Benchmarks using both Fortran and C:

 -w   -m64   -std=c11   -Wl,-z,muldefs   -xCORE-AVX512   -Ofast   -ffast-math   -flto   -mfpmath=sse   -funroll-loops   -qopt-mem-layout-trans=4   -nostandard-realloc-lhs   -align array32byte   -auto   -ljemalloc   -L/usr/local/jemalloc64-5.0.1/lib 

Benchmarks using both C and C++:

 -w   -m64   -std=c11   -Wl,-z,muldefs   -xCORE-AVX512   -Ofast   -ffast-math   -flto   -mfpmath=sse   -funroll-loops   -qopt-mem-layout-trans=4   -ljemalloc   -L/usr/local/jemalloc64-5.0.1/lib 

Benchmarks using Fortran, C, and C++:

 -w   -m64   -std=c11   -Wl,-z,muldefs   -xCORE-AVX512   -Ofast   -ffast-math   -flto   -mfpmath=sse   -funroll-loops   -qopt-mem-layout-trans=4   -nostandard-realloc-lhs   -align array32byte   -auto   -ljemalloc   -L/usr/local/jemalloc64-5.0.1/lib 

Peak Compiler Invocation

C benchmarks:

 icx 

C++ benchmarks:

 icpx 

Fortran benchmarks:

 ifx 

Benchmarks using both Fortran and C:

 ifx   icx 

Benchmarks using both C and C++:

 icpx   icx 

Benchmarks using Fortran, C, and C++:

 icpx   icx   ifx 

Peak Portability Flags

Same as Base Portability Flags

Peak Optimization Flags

C benchmarks:

519.lbm_r:  basepeak = yes 
538.imagick_r:  basepeak = yes 
544.nab_r:  -w   -std=c11   -m64   -Wl,-z,muldefs   -xCORE-AVX512   -Ofast   -ffast-math   -flto   -mfpmath=sse   -funroll-loops   -qopt-mem-layout-trans=4   -qopt-zmm-usage=high   -ljemalloc   -L/usr/local/jemalloc64-5.0.1/lib 

C++ benchmarks:

508.namd_r:  basepeak = yes 
510.parest_r:  -w   -m64   -Wl,-z,muldefs   -xCORE-AVX512   -Ofast   -ffast-math   -flto   -mfpmath=sse   -funroll-loops   -qopt-mem-layout-trans=4   -ljemalloc   -L/usr/local/jemalloc64-5.0.1/lib 

Fortran benchmarks:

503.bwaves_r:  basepeak = yes 
549.fotonik3d_r:  basepeak = yes 
554.roms_r:  -w   -m64   -Wl,-z,muldefs   -xCORE-AVX512   -Ofast   -ffast-math   -flto   -mfpmath=sse   -funroll-loops   -qopt-mem-layout-trans=4   -nostandard-realloc-lhs   -align array32byte   -auto   -ljemalloc   -L/usr/local/jemalloc64-5.0.1/lib 

Benchmarks using both Fortran and C:

 -w   -m64   -std=c11   -Wl,-z,muldefs   -xCORE-AVX512   -Ofast   -ffast-math   -flto   -mfpmath=sse   -funroll-loops   -qopt-mem-layout-trans=4   -nostandard-realloc-lhs   -align array32byte   -auto   -ljemalloc   -L/usr/local/jemalloc64-5.0.1/lib 

Benchmarks using both C and C++:

511.povray_r:  -w   -m64   -std=c11   -Wl,-z,muldefs   -fprofile-generate(pass 1)   -fprofile-use=default.profdata(pass 2)   -xCORE-AVX512   -Ofast   -ffast-math   -flto   -mfpmath=sse   -funroll-loops   -qopt-mem-layout-trans=4   -ljemalloc   -L/usr/local/jemalloc64-5.0.1/lib 
526.blender_r:  basepeak = yes 

Benchmarks using Fortran, C, and C++:

 -w   -m64   -std=c11   -Wl,-z,muldefs   -xCORE-AVX512   -Ofast   -ffast-math   -flto   -mfpmath=sse   -funroll-loops   -qopt-mem-layout-trans=4   -nostandard-realloc-lhs   -align array32byte   -auto   -ljemalloc   -L/usr/local/jemalloc64-5.0.1/lib 

The flags files that were used to format this result can be browsed at
http://www.spec.org/cpu2017/flags/Nettrix-Platform-Settings-V1.3-SPR-revA.html,
http://www.spec.org/cpu2017/flags/Intel-ic2022-official-linux64_revA.html.

You can also download the XML flags sources by saving the following links:
http://www.spec.org/cpu2017/flags/Nettrix-Platform-Settings-V1.3-SPR-revA.xml,
http://www.spec.org/cpu2017/flags/Intel-ic2022-official-linux64_revA.xml.